ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una...

35
www.iplacex.cl ELECTRÓNICA ANALÓGICA Y DIGITAL UNIDAD Nº III Electrónica Digital

Transcript of ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una...

Page 1: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

ELECTRÓNICA ANALÓGICA Y DIGITAL UNIDAD Nº III

Electrónica Digital

Page 2: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

2

Introducción

En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar

sistemas digitales combinacionales a partir de su tabla de verdad y mediante su expresión

lógica para luego llegar a un circuito que involucra compuertas lógicas y posee un

comportamiento como el deseado, sin embargo, aún no contamos con alguna herramienta

que nos permita validar la funcionalidad de nuestro sistema basad en compuertas o en

circuitos combinacionales notables, es por ello que en esta unidad se revisa cómo simular los

sistemas lógicos diseñados.

Los sistemas digitales combinacionales constituyen la básica de la electrónica digital al ser las

compuertas lógicas por sí mismas, sistemas combinacionales que llevan a cabo las

operaciones lógicas fundamentales. Hemos expuesto todas las compuertas lógicas como

componentes electrónicos que realizan la operación lógica con señales eléctricas digitales, sin

embargo, ¿Qué son realmente las compuertas lógicas? ¿Cómo lucen? ¿Cómo se componen?

¿Cómo se utilizan? Todas estas interrogantes serán respondidas en esta última parte del

curso de electrónica analógica y digital.

.

SEMANA 6

Page 3: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

3

Ideas Fuerza

1. Las compuertas lógicas y circuitos combinacionales notables son circuitos

integrados que poseen líneas de entrada, salida y de alimentación.

Un circuito integrado digital se compone internamente de arreglos de compuertas lógicas, que

poseen entradas, salidas y deben ser también alimentados para funcionar. A su vez, las

compuertas lógicas son también circuitos integrados que requieren de un voltaje para realizar

las operaciones lógicas con señales eléctricas, comúnmente este voltaje es de 5V o 3,3V y las

compuertas lógicas se comercializan en arreglos de 1, 4 o 6 compuertas iguales en un mismo

circuito integrado.

2. El desempeño de las compuertas lógicas y circuitos integrados digitales se mide

básicamente mediante el retardo de propagación y el consumo de potencia

Las compuertas lógicas son elementos electrónicos reales que presentan limitaciones, si bien

en forma teórica los circuitos combinacionales funcionan de forma instantánea, esto no es así

puesto que las compuertas poseen retardos entre que se aplica una nueva entrada y se

produce la nueva salida, adicionalmente las compuertas deben ser también alimentadas y por

tanto consumen energía.

3. Las diferentes tecnologías para fabricar compuertas lógicas se llaman “familias”

y cada una ofrece diferentes características de operación.

Con el fin de reducir los retardos y el consumo de potencia, se han desarrollado diferentes

tecnologías para fabricar los circuitos integrados digitales, existiendo dos grandes tecnologías

o familias: TTL y CMOS, ambas familias a su vez definen series que según su fabricación

ofrecen diferentes características de operación.

4. La simulación de circuitos digitales combinacionales s puede realizar a nivel de

compuertas lógicas o de circuitos integrados mediante diversas herramientas de

software.

Para determinar si un diseño combinacional realiza las funciones que debe se puede simular

mediante software de simulación digital o de señal mixta, existiendo básicamente dos

enfoques para simular un circuito combinacional: como interconexión de compuertas y

bloques funcionales pensados en entradas y salidas o como interconexión de circuitos

integrados pensando en la función de cada uno de sus pines.

Page 4: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

4

Contenido 1. Circuitos Integrados Combinacionales ............................................................................... 5

1.1. Características de compuertas lógicas ........................................................................ 6

2. Familias lógicas .................................................................................................................. 8

2.1. La familia TTL .............................................................................................................. 9

2.2. La familia CMOS ....................................................................................................... 19

2.3. Interconexión entre familias TTL y CMOS ................................................................. 27

3. Simulación de Circuitos Combinacionales ........................................................................ 30

Page 5: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

5

1. Circuitos Integrados Combinacionales

Las compuertas lógicas constituyen el corazón de la electrónica digital combinacional y hemos

ya aprendido que son dispositivos electrónicos capaces de desarrollar operaciones lógicas

con señales eléctricas digitales de voltaje. Por si mismas las compuertas lógicas son en

realidad circuitos integrados que permiten desarrollar dichas operaciones y como todo circuito

integrado poseen pines de entrada, salida, líneas de alimentación y por sobretodo un

encapsulado que generalmente contiene no una, sino varias compuertas lógicas del mismo

tipo.

Al ser circuitos integrados, las compuertas lógicas requieren alimentación para funcionar, sin

embargo no se acostumbra a dibujar las líneas de alimentación de cada compuerta lógica al

momento de representar un circuito combinacional por dos razones:

-Simplicidad al dibujar el sistema y que este sea fácilmente entendible.

-Se sabe que todas las compuertas y circuitos integrados digitales requieren una alimentación

y el intérprete del diseño lo tiene siempre presente.

Generalmente los circuitos integrados digitales poseen líneas de alimentación comunes para

todas las compuertas en su interior (tal como pasaba con los amplificadores operacionales),

ahorrando pines y reduciendo la complejidad al diseñar un circuito real que será montado en

un circuito impreso.

Debido a la gran aplicación de los circuitos digitales desde su inserción, las aplicaciones se

han vuelto cada vez más demandantes en términos de básicamente dos aspectos, la

velocidad y el consumo de energía.

Velocidad de operación:

Pese a que los circuitos combinacionales se definen como instantáneos, es decir, que sus

salidas ocurren en forma paralela al cambio en las entradas, en la realidad esto no es así, si

no que existe por cada compuerta lógica un pequeño retardo entre que se genera una entrada

admisible y se obtiene la salida. A medida que conectamos compuertas lógicas en cascada

dichos retardos se van sumando y pueden resultar en un problema si consideramos que los

circuitos poseen muchas compuertas lógicas. Debido a esto, los investigadores y fabricantes

han puesto y siguen poniendo sus esfuerzos en reducir dichos retardos (llamados

formalmente Tiempo de propagación) de forma de hacer cada vez más rápidos a los

dispositivos digitales y sistemas basados en ellos.

Page 6: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

6

Consumo de energía

Al tratarse de dispositivos electrónicos basados en semiconductores, estos disipan potencia

que se consume desde las fuentes de alimentación y se vuelve mayor a medida que se

interconectan más compuertas en el sistema y volviéndose no menor cuando un gran número

de ellas son interconectadas. Adicionalmente el calentamiento de los dispositivos produce que

el funcionamiento empeore, requiriéndose ventilar los equipos, lo que conlleva a consumo

energético adicional en ventilación. Es evidente entonces que los fabricantes trabajen en

reducir el consumo energético.

1.1. Características de compuertas lógicas

Existen varios puntos que definen el funcionamiento de un circuito lógico. Las características

de funcionamiento son la velocidad de conmutación medida en términos del retardo de

propagación, la disipación de potencia, el fan-out o capacidad de excitación, el producto

velocidad-potencia, la tensión de alimentación continua y los niveles lógicos de entrada/salida.

Tiempo de retardo de propagación

Como habíamos mencionado en forma preliminar, este parámetro limita la frecuencia o

velocidad de conmutación a la que un circuito lógico puede operar y es una de las principales

variables en que se ha trabajado por mejorar.

En circuitos digitales, los términos baja velocidad y alta velocidad hacen referencia al retardo

de propagación. Cuanto menor sea el tiempo de propagación, mayor será la velocidad del

circuito y mayor será la frecuencia a la que puede operar.

En circuitos digitales, los términos baja velocidad y alta velocidad hacen referencia al retardo

de propagación. Cuanto menor sea el tiempo de propagación, mayor será la velocidad del

circuito y mayor será la frecuencia a la que puede operar.

El tiempo de retardo de propagación, tp, de una puerta lógica corresponde intervalo de

tiempo entre que se aplica un impulso de entrada y aparece el impulso de salida resultante.

Existen dos medidas diferentes del tiempo de retardo de propagación asociado a una

compuerta lógica:

tPHL: es el tiempo entre un punto de referencia especificado en el impulso de entrada y el

correspondiente punto de referencia en el impulso de salida, cuando la salida cambia del nivel

ALTO (H) al nivel BAJO (L).

Page 7: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

7

tPLH: es el tiempo entre un punto de referencia especificado en el impulso de entrada y el

correspondiente punto de referencia en el impulso de salida, cuando la salida cambia del nivel

BAJO (L) al nivel ALTO (H).

Fig. 1.1. Representación de los tiempos TPHL y TPLH

El tiempo de propagación se define realmente en dos tiempos diferentes tPHL y tPLH, estos

tiempos no son necesariamente iguales, pero en la mayoría de los casos sí lo son.

Disipación de potencia

La disipación de potencia, Pd, de una compuerta lógica es el producto de la tensión de

alimentación continua y de la corriente media que esta consume desde la alimentación.

Normalmente, la corriente de alimentación cuando la salida de la compuerta está a nivel

BAJO es mayor que cuando la salida de la compuerta está a nivel ALTO. Generalmente, la

corriente de alimentación para el estado de salida BAJO se denota como ICCL y para el

estado ALTO como ICCH. Ambas se definen mediante la aplicación de una forma de onda

cuadrada don un ciclo de trabajo del 50%, así se define la potencia disipada como:

𝑃𝑑 =𝑉𝐶𝐶(𝐼𝐶𝐶𝐻 + 𝐼𝐶𝐶𝐿)

2

Donde Vcc es el voltaje de alimentación. Los voltajes de alimentación comunes son 5V y

3.3V, aunque hay otros valores estándar para dispositivos de ultra alta velocidad de 2,5V y

1,8V. en este curso nos centramos únicamente en aquellos dispositivos digitales que

funcionan con 5V.

Page 8: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

8

𝑆𝑃𝑃 = 𝑡𝑃 ⋅ 𝑃𝐷

Fan-out y carga

El fan-out de una puerta lógica es el número máximo de entradas de la familia de circuitos

integrados de la misma serie que la puerta puede excitar, manteniendo los niveles de salida

dentro de los límites especificados. El fan-out es un parámetro importante en la interconexión

de compuertas lógicas depende de la frecuencia a la que operen los circuitos.

Pese a que el fan-out representa una cantidad de compuertas, este se especifica

generalmente como la corriente máxima que la salida de la compuerta puede drenar, así

también como se especifica para cada compuerta lógica, la corriente que consume cada una

de sus entradas, de esta forma es fácil identificar que el fan-out se obtiene dividiendo al

corriente máxima de salida por la corriente de entrada de las compuertas de la misma familia

o serie.

2. Familias lógicas

El término “familia lógica” hace alusión a la tecnología empleada al fabricar las compuertas

lógica y define a su vez un grupo de circuitos integrados que pueden ser interconectados

entre sí sin ningún tipo de interfaz, es decir, de una de sus salidas se puede conectar una o

más entradas de otros circuitos integrados de la misma familia sin tener que recurrir a algún

circuito adicional para realizar adaptaciones de ningún tipo, ya que al ser de la misma

tecnología, son 100% compatibles.

Existen varias tecnologías de circuitos integrados digitales que se usan para implementar las

puertas lógicas básica, es decir, existen diferentes FAMILIAS LÓGICAS.

Las familias pueden clasificarse según el dispositivo que utilizan como base para construir las

compuertas: transistores bipolares y MOS. Podemos mencionar algunos ejemplos:

Producto velocidad-potencia (SPP).

El parámetro SPP (speed-power product) puede utilizarse como una medida del

funcionamiento de un circuito lógico que tiene en cuenta el retardo de propagación y la

disipación de potencia. Es especialmente útil para comparar las distintas series de puertas

lógicas de las familias lógicas o para comparar una compuerta lógica similar en diferentes

tecnologías.

El producto SPP de un circuito lógico es igual al producto del retardo de propagación por la

disipación de potencia, y se expresa en joules (J), que es una unidad de energía. La fórmula

es:

Page 9: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

9

Familias basadas en transistores bipolares: RTL, DTL, TTL, ECL, HTL, IIL. Familias basadas

en transistores MOS: PMOS, NMOS, CMOS.

Las tecnologías TTL (lógica transistor- transistor) y CMOS (metal oxido-semiconductor

complementario) son los más utilizadas en la fabricación de CI’s SSI (baja escala de

integración) y MSI (media escala de integración).

Tenga en cuenta que CMOS y TTL sólo difieren en el tipo de componentes de la tecnología y

los valores de los parámetros, y no en las operaciones lógicas básicas. Una puerta AND

CMOS realiza la misma operación lógica que una puerta AND TTL. Esto también es cierto

para todas las operaciones lógicas básicas restantes. La diferencia entre CMOS y TTL se

encuentra en las características de funcionamiento, tal como la velocidad de conmutación

(retardo de propagación), la disipación de potencia, la inmunidad al ruido, fan-out y otros

parámetros.

Fig.2.1. Las diferentes familias lógicas.

2.1. La familia TTL

La tecnología TTL ha sido y es todavía una tecnología de circuitos integrados digitales muy

popular. Una ventaja de esta tecnología es que no es sensible a las descargas electrostáticas

y, por tanto, es práctica en la realización de experimentos de laboratorio y la elaboración de

prototipos, ya que no es necesario preocuparse por los problemas de manipulación.

Al ser las familias TTL y CMOS las más empleadas en circuitos digitales discretos, en esta

parte del curso nos centraremos en las características generales de cada una de dichas

familias.

Page 10: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

10

Además de estar basadas en transistores bipolares, las compuertas TTL presentan otra

característica particular que las distingue y se refiere a la unidad básica sobre la que se

construyen todas las compuertas: la compuerta NAND.

Gracias a las leyes y propiedades de la lógica binaria (especialmente las leyes de Morgan,

que revisamos someramente la semana anterior) se puede demostrar que todas las

operaciones lógicas básicas se pueden construir en base a compuertas NAND y es por ello y

que con la tecnología TTL la compuerta NAND es la más fácil de fabricar, que todas las

demás compuertas TTL se basan en las compuertas NAND; de hecho, el primer circuito

integrado de la familia, el 7400 corresponde a cuatro compuertas NAND de dos entradas.

Fig. 2.2. Formando las funciones lógicas mediante compuertas NAND

Series TTL

Existen disponibles varias series de compuertas puertas lógicas TTL, las cuales operan todas

ellas con 5 V de alimentación de continua. Estas series pertenecientes a la familia TTL

difieren en sus características de funcionamiento y se denominan mediante los prefijos 74 o

54 seguidos por una letra o letras que indican la serie y un número que indica el tipo de

dispositivo lógico de la serie. Como ejemplos podemos citar los 7404, 74S86 y 74ALS161.

Las series básicas TTL y sus denominaciones son las siguientes (todas se alimentan con 5V):

74: TTL estándar (sin letra).

Page 11: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

11

74H: TTL de alta velocidad.

74S: TTL Schottky.

74AS: TTL Schottky avanzada.

74LS: TTL Schottky de baja potencia.

74ALS: TTL Schottky de baja potencia avanzada.

74F: TTL rápida.

Según sea la serie de compuertas TTL, estas ofrecen diferentes características que permiten

ajustarse a un amplio rango de aplicaciones, la tabla siguiente resume las diferentes

características generales para las compuertas de la familia TTL.

Observemos que las subfamilias Schottky de baja potencia como la Schottky avanzada de

baja potencia reúnen excelentes características de alta velocidad y bajo consumo de potencia.

Las diferencias entre las características de las diferentes series TTL se deben a la forma en

que se construye la compuerta NAND básica:

Page 12: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

12

Fig. 2.3. Compuerta NAND TTL estándar

Fig. 2.4. Compuerta NAND TTL de baja potencia

Page 13: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

13

Fig. 2.5. Compuerta NAND TTL Schottky

La compuerta TTL estándar fue la primera versión de la familia TTL. Luego, esta compuerta

básica se diseñó con diferentes valores de resistor para producir compuertas con menor

disipación de potencia o más rápidas.

En la compuerta TTL de baja potencia, los valores de los resistores son más altos que en la

compuerta estándar, a fin de reducir la disipación de potencia, pero el retardo de propagación

es mayor. En la compuerta TTL de alta velocidad, se reducen los valores de los resistores

para acortar el retardo de propagación, pero aumenta la disipación de potencia. La compuerta

TTL Schottky fue la siguiente mejora en la tecnología. El efecto del transistor Schottky es

eliminar el retardo de tiempo de almacenamiento impidiendo al transistor entrar en saturación.

Esta serie aumenta su rapidez de operación sin un aumento excesivo en la disipación de

potencia

Niveles Lógicos

Los niveles lógicos corresponden a los rangos de voltaje que una familia lógica considera

como niveles altos y bajos en las entradas de las compuertas lógicas y los que puede generar

como niveles altos y bajos a la salida de las compuertas lógicas, naturalmente que dichos

voltajes deben ser compatibles para garantizar la interconexión de la entrada de una

compuerta con la salida de otra de la misma familia.

Para que un CI TTL opere adecuadamente, el fabricante especifica que una entrada baja

varíe de 0V a 0.8V y un alta varíe de 2V a 5V. La región que está comprendida entre 0.8 y

Page 14: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

14

2V se le denomina región prohibida o de incertidumbre y cualquier entrada en este rango

daría resultados impredecibles.

Los rangos de salidas esperados varían normalmente entre 0 y 0.4V para una salida baja y de

2,4V a 5V para una salida alta.

La diferencia entre los niveles de entrada y salida es proporcionarle al dispositivo inmunidad al

ruido que se define como la insensibilidad del circuito digital a señales eléctricas no deseadas.

Fig. 2.6. Niveles lógicos para compuertas TTL

Compuertas y circuitos integrados TTL

Como la línea estándar TTL fue la primera de esta tecnología en introducirse, el prefijo 74 fue

conservándose a medida que las nuevas series fueron desarrolladas. Originalmente los chips

de la familia 74 sólo eran circuitos TTL, sin embargo debido a la comodidad en su uso y a la

compatibilidad pin a pin (mayoritariamente para reemplazos en reparaciones) los chips de

otras familias lógicas como los CMOS incluyeron también dicho prefijo a modo de explicitar

que dichos integrados tenían la misma distribución de pines y funciones que el chip de la línea

74 original, pero que sólo cambia la tecnología.

Es común escuchar que TODOS los chips 74 son TTL sin embargo, ya sabemos que esto es

falso y que prácticamente todos los circuitos integrados 74 TTL tienen su versión 74 en otras

tecnologías.

El fabricante especifica generalmente el circuito integrado de la siguiente manera:

Page 15: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

15

Los siguientes integrados de la familia 74xx corresponden a las compuertas lógicas básicas:

74XX00: 4 NAND de dos entradas

74XX02: 4 NOR de dos entradas

74XX04: 6 NOT

74XX04: 4 AND de dos entradas

74XX32: 4 OR de dos entradas

74XX86: 4 XOR de dos entradas

74XX266: 4 XNOR de dos entradas

En la siguiente imagen se muestra la distribución de pines de cada uno:

Las dos primeras letras corresponden a un sufijo que identifica al fabricante.

La inscripción entre 74 y el siguiente número indica la serie TTL, (L,H,S,LS,AS,ALS,F).

El número de 2 a 5 cifras luego de la serie indica la función del IC.

Page 16: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

16

NAND: 74XX00 NAND: 74XX00

NOR:74XX02 NOT: 74XX04

AND: 74XX08 OR: 74XX32

XOR:74XX86 XNOR:74XX266

Fig. 2.7. Distribución de pines de los circuitos integrados TTL correspondientes a compuertas lógicas.

Los siguientes integrados de la familia 74xx corresponden a circuitos integrados

combinacionales de aplicación específica:

74XX42: Decodificador BCD a decimal

74XX47: Decodificador BCD a 7 segmentos

74XX283: Sumador completo de 4 bits

Page 17: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

17

Cabe destacar que la familia 74 posee más de 200 miembros diferentes entre circuitos

combinacionales, secuenciales, de propósito especial y memorias.

74XX42 74XX47

74XX283

Fig. 2.8. Distribución de pines de algunos CI TTL de aplicación específica.

A continuación se presenta un ejemplo de aplicación de circuitos integrados TTL,

correspondiendo a un decodificador de BCD a decimal.

El decodificador de BCD a decimal PONE EN ESTADO BAJO una única salida cuando se

detecta una combinación BCD en la entradas. En este circuito la combinación de entrada se

ingresa mediante SW1 a SW4 y la salida está representada por diodos LEDS, el led que

corresponda a la salida decodificada se APAGARÁ cuando se detecte la combinación.

Page 18: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

18

Fig. 2.9. Circuito del decodificador BCD a decimal.

Recuerde que en este circuito, el pin 16 del circuito integrado está conectado a +5V y el pin 8

a GND.

Por otra parte, para el caso de las entradas binarias, la letra A siempre indicará el bit menos

significativo y la letra Del bit más significativo. Existen también otras nomenclaturas

equivalentes:

Page 19: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

19

2.2. La familia CMOS

CMOS es la tecnología dominante hoy en día en los circuitos digitales, ya que ha logrado

desplazar a la tecnología TTL. Esto es especialmente cierto en el caso de los circuitos de gran

escala de integración y en los microprocesadores, todos los cuales se implementan con

tecnología MOS. Aunque TTL dominó durante muchos años, principalmente debido a sus

altas velocidades de conmutación y a una enorme variedad de tipos de dispositivos, la

tecnología CMOS siempre ha tenido la ventaja de ofrecer una mucho menor disipación de

potencia. Las velocidades de conmutación de CMOS han mejorado extremadamente y ahora

pueden competir con TTL, a la vez que la baja disipación de potencia y otros factores

deseables se han mantenido a medida que la tecnología avanzaba.

Ca tecnología CMOS se basa su estructura en transistores de efecto de campo a diferencia

de la familia TTL que lo hace en base a transistores bipolares. Esta principal característica es

la responsable del excesivamente bajo consumo que presentan los circuitos integrados

CMOS.

Así como la familia TTL basa sus compuertas lógicas en una compuerta NAND base, la

familia CMOS construye las compuertas a partir de una compuerta base de tipo NOR.

Al igual que con la compuerta NAND, se pueden construir todas las operaciones lógicas

básicas sólo utilizando compuertas NOR. La tecnología CMOS hace uso de ello para fabricar

sus componentes puesto que con dicha tecnología, la compuerta NOR y la compuerta NAND

son las más fáciles de fabricar.

Fig. 2.10. Generación de las funciones lógicas utilizando sólo puertas NOR.

La tecnología CMOS hace uso de transistores tanto de canal N como de canal P para formar

los dispositivos lógicos, el uso de ambas polaridades de transistor permite formar las

Page 20: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

20

compuertas utilizando pocos transistores en espacio muy reducido, de hecho se requieren

sólo 4 transistores para formar las compuertas NAND y NOR y sólo dos para formar un

inversor.

Fig. 2.11. Compuerta NOT, NAND y NOR básicas basadas en transistores de efecto de campo.

Page 21: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

21

Series CMOS

En la familia CMOS existen también series de compuertas lógicas que se han ido

desarrollando para sobrellevar las debilidades de las compuertas tipo CMOS.

Inicialmente existió una única serie CMOS, los integrados 40XX que ofrecían variadas

funciones que en los integrados TTL no existían, pero con distribuciones de pines diferentes a

los equivalentes TTL. La familia 40XX aún es comercializada por diversos fabricantes como

Texas instruments, ON semiconductor, Intersil, ST y Nexperia, contando con más de 200

circuitos integrados diferentes.

Debido a la gran penetración de la tecnología TTL y de la comodidad al usar los circuitos

integrados de dicha familia, las series CMOS venideras se hicieron pin a pin compatibles con

los circuitos integrados TTL de forma que los fabricantes de sistemas lógicos migraran a la

tecnología CMOS sin mayores cambios en sus diseños, de esta forma nacieron tres familias

CMOS con distribuciones de pines iguales a las TTL, manteniendo la nomenclatura y

funcionalidades de la familia 74XX pero con tecnología CMOS.

Las series CMOS son:

40: estándar (no compatible pin a pin con series 74)

74HC: CMOS de alta velocidad

74HCT: CMOS de alta velocidad (eléctricamente compatible con TTL)

74AC: CMOS avanzada

74ACT: CMOS avanzada (eléctricamente compatible con TTL)

74AHC: CMOS avanzada de alta velocidad

74AHCT: CMOS avanzada de alta velocidad (eléctricamente compatible con TTL)

No existe mayor variación en la topología de los circuitos internos de las diferentes

tecnologías CMOS, siendo el avance debido prácticamente al desarrollo constante de la

tecnología MOS por sí misma para la fabricación de los transistores. Las características

eléctricas de las compuertas de lógica CMOS son:

Page 22: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

22

Niveles lógicos

Los circuitos integrados CMOS poseen niveles lógicos diferentes a los de la familia TTL:

Los dispositivos CMOS de 5V aceptan una tensión entre 0V y 1,5V para el cero lógico en las

entradas (VIL) y una tensión entre 3,5V y 5V para el “1” lógico en las entradas (VIH.)

El valor máximo de voltaje para el “0” lógico en las salidas es de 0,33V (VOL) y el valor

mínimo para el “1” lógico de salida (VOH) es de 4,4V.

Fig. 2.12. Niveles lógicos para compuertas CMOS

Compuertas y circuitos integrados CMOS

Como ya hemos mencionado, existe básicamente dos series diferente de circuitos integrados

CMOS, la serie 40 estándar y los que comparten funciones y compatibilidad de pines con la

familia TTL, las series 74. Ambas series poseen su propia nomenclatura que permiten

identificar al circuito integrado, su función y al fabricante:

Page 23: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

23

AA 74XXX000 ZZ

Identificador del fabricante:CD, SN: Texas instrumentsHD: Hitachi, RenesasIN: Integral SemiconductorM: STMC: ON Semiconductor, MotorolaMM: Fairchild, National Semi.TC: Toshiba

Sufijo dado por el fabricante para indicar encapsulado o variante

Familia CMOS:HC: CMOS de alta velocidadHCT: CMOS de alta velocidad (TTL-comp)74AC: CMOS avanzada74ACT: CMOS avanzada (TTL-comp)74AHC: CMOS avanzada de alta velocidad74AHCT: CMOS avanzada de alta velocidad(TTL-comp)

AAA 4000 ZZ

Identificador del fabricante:CD: Texas instruments, IntersilHEF: Nexperia HCF: STMC: ON Semiconductor, MotorolaTC: Toshiba

Sufijo dado por el fabricante para indicar encapsulado o variante

Identificador de la función

Los circuitos integrados CMOS de la serie 74 presentan las mismas funciones de su

homónimo en las series 74 TTL, así, por ejemplo los 74HC08, 74LS08, 74AHCT08, 7408 y

74ALS08, cumplen la misma función con la misma distribución de pines: 4 compuertas AND,

aunque algunos sean CMOS y otros TTL

Para la serie estándar, es primero más fácil de identificar que se trata de un circuito CMOS y

la función básica del IC es también más fácil de identificar puesto que los números no están

“cortados” intercalando letras:

Page 24: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

24

En el caso de la serie 40, las funcionalidades cambian y las distribuciones de pines también,

por ejemplo, los circuitos integrados 74XX00 y AAA4011 son ambos chips que contienen 4

compuertas NAND de dos entradas, sin embargo su distribución de pines no es la misma:

Fig.2.13. Los circuitos integrados de la serie 74 y estándar 40 que cumplen la misma función poseen diferentes

distribuciones de pines.

Los circuitos integrados de la serie 40 que corresponden a compuertas lógicas básicas son

los siguientes:

4001: 4 NOR de dos entradas.

4011: 4 NAND de dos entradas.

4071: 4 OR de dos entradas.

4081: 4 AND de dos entradas.

4070: 4 XOR de dos entradas.

4077: 4 XNOR de dos entradas.

4049: 6 NOT.

4069: 6 NOT.

Page 25: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

25

Fig. 2.14. Disposición de pines de algunas compuertas lógicas de la serie CMOS estándar

Los siguientes integrados de la familia 40XX corresponden a circuitos integrados

combinacionales de aplicación específica:

4028: Decodificador BCD a decimal

4511: Decodificador BCD a 7 segmentos

4008: Sumador completo de 4 bits

Page 26: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

26

ENTR

AD

AS B

CD

SALID

AS D

ECIM

AL

45

11

40

28

40

08

VCC

BIT 3 NÚMERO B

CARRY OUT

BIT 3 SUMA

BIT 2 SUMA

BIT 1 SUMA

BIT 0 SUMA

CARRY IN

BIT 3 NÚMERO A

BIT 2 NÚMERO B

BIT 2 NÚMERO A

BIT 0 NÚMERO B

BIT 0 NÚMERO A

GND

BIT 1 NÚMERO B

BIT 1 NÚMERO A

Fig. 2.15. Disposición de pines de algunos circuitos combinacionales de la serie CMOS estándar.

Descargas electrostáticas

Los dispositivos CMOS son muy susceptibles al daño por descargas electrostáticas entre un

par de pines.

Estos daños pueden prevenirse:

1. Almacenando los CI CMOS en espumas conductoras especiales.

2. Usando soldadores alimentados por batería o conectando a tierra las puntas de los

soldadores alimentados por AC.

3. Desconectando la alimentación cuando se vayan a quitar CI CMOS o se cambien

conexiones en un circuito.

Page 27: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

27

4. Asegurando que las señales de entrada no excedan las tensiones de la fuente de

alimentación.

5. Desconectando las señales de entrada antes de las de alimentación.

6. No dejar entradas en estado flotante, es decir, conectarlos a la fuente o a tierra según se

requiera.

2.3. Interconexión entre familias TTL y CMOS

A menudo es necesario interconectar elementos de lógica TTL con otros de tecnología

CMOS, sin embargo, como ya hemos visto, estas familias no son 100% compatibles salvo por

las series CMOS HCT, ACT y AHCT que son fabricadas exclusivamente para ser compatibles

tanto con CMOS como con TTL, sirviendo como INTERFAZ entre las dos familias.

Las interconexiones que puede darse son:

- Salida CMOS a entrada TTL

- Salida TTL a Entrada CMOS

Como los niveles lógicos que ambas familias manejan son diferentes, se requiere la

adaptación de la seña del elemento que hace de salida para estar dentro de los niveles

lógicos que el segundo acepta por entrada.

Conexión TTL a CMOS

Cuando se desea conectar la salida de un circuito TTL a la entrada de un circuito CMOS, se

puede incorporar una resistencia de PULL-UP que mantenga por defecto el estado de la

conexión en estado alto, de esta forma la compuerta TTL tendrá 5V en su salida cuando esté

en estado alto y 0,4V máximo cuando esté en estado bajo, lo cual es suficiente puesto que la

entrada CMOS considera como estado bajo hasta 1,5V. Esta configuración se muestra a

continuación:

Page 28: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

28

Fig. 2.16. Interfaz estándar TTL a CMOS utilizando una resistencia de “pull-up”.

Sin embargo, para las familias de baja potencia TTL se debe utilizar una resistencia mayor de

forma de no exigir demasiada corriente de las salidas.

Fig. 2.17. Interfaz estándar Schottky TTL de baja potencia a CMOS utilizando una resistencia de “pull-up” de mayor

valor.

La solución universal para una interconexión entre una salida TTL y una entrada CMOS es

utilizar una compuerta cuya serie termine en “T” (HCT, ACT y AHCT).

Page 29: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

29

Fig. 2.18. Interfaz TTL y CMOS usando un buffer de CI CMOS.

Conexión CMOS a TTL

Como los niveles de tensión que maneja CMOS son más estrechos que los que se manejan

en TTL, generalmente no se requiere interfaz para conectar una salida CMOS a una entrada

TTL de baja potencia. Para las series TTL que no son de baja potencia, se recomienda

emplear un inversor CMOS (o dos para evitar la inversión de la lógica) con mayor capacidad

de corriente, como lo son los 4049.

Fig. 2.19. Interfaz CMOS a TTL Schottky de baja potencia.

Page 30: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

30

Fig. 2.20. Interfaz CMOS a TTL Schottky de baja potencia.

3. Simulación de Circuitos Combinacionales

Existen muchas herramientas de simulación de circuitos digitales y la mayoría de los

simuladores convencionales incorporan al menos la posibilidad de simular compuertas lógicas

a nivel de circuitos integrados, es decir, diferenciando las compuertas lógicas según su familia

y serie. Sin embargo, para validar un diseño lógico debemos pasar por alto la tecnología a

usar puesto que de esta forma cualquier imperfección debido a tiempos de propagación o

niveles lógicos queda eliminada y se verifica solo la funcionalidad de que lógicamente el

circuito funcione.

Que el circuito funcione eléctricamente bien es preocupación en una etapa posterior, donde

se deberá escoger la familia y serie lógica a utilizar.

Para simular circuitos con compuertas lógicas y diseños combinacionales consideraremos dos

niveles:

-Simulación a nivel de compuertas lógicas genéricas

-Simulación a nivel de circuitos integrados en un protoboard virtual

Para ello utilizaremos dos herramientas de uso gratuito, respectivamente:

-Digital Logic Design

-Constructor virtual sobre protoboard.

Representación de las entradas digitales

Page 31: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

31

La mayoría de los simuladores comerciales proveen elementos dedicados a la simulación de

entradas digitales y generalmente les llaman de dicha forma y consisten en un elemento

interactivo que permite cambiar el estado lógico de su terminal al hacer click sobre él.

Algunos simuladores no presentan esta característica (como CircuitLAB o Proteus -ISIS) y se

debe realizar un arreglo para poder representar el estado lógico de una entrada, para ello se

utiliza un Switch de dos posiciones (Switch SPDT) donde una se conecta a 5V para

representar un “1” lógico y la otra posición se conecta a 0V para representar el “0” lógico.

Fig. 3.1. Algunas representaciones de entradas lógicas y Circuito de entrada lógica con Switch SPDT.

Representación de las salidas digitales

La mayoría de los simuladores comerciales proveen elementos dedicados a la simulación de

salidas digitales y generalmente les llaman de dicha forma o LED y consisten en un elemento

interactivo que cambia de color cuando su entrada cambia de estado.

Algunos simuladores no presentan esta característica (como CircuitLAB o Proteus -ISIS) y se

deben utilizar diodos LED para representar el estado lógico de una salida, para ello se

conecta la salida lógica a una resistencia de 330Ohms y un LED entre dicha resistencia y

GND.

Page 32: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

32

Fig. 3.2. Algunas representaciones de salidas lógicas y uso de un LED como elemento salida lógica.

Estas dos disposiciones son generales y válidas para cualquier simulador de circuitos

digitales, sin embargo, para representar los circuitos electrónicos digitales cada simulador

posee su forma de trabajo y representación, por lo que debe ser aprendido en forma

individual, tal como lo es con los software que hemos revisado.

Page 33: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

33

Conclusión En esta sexta lección hemos estudiado como las compuertas lógicas se caracterizan por circuitos integrados con diferentes características eléctricas de velocidad, consumo de energía y capacidades de interconexión, características que dependerán siempre de la familia lógica y serie a la que corresponda un circuito integrado en particular. En general, para el uso de las compuertas lógicas se prefieren dos familias de circuitos integrados, que refieren a la tecnología y prestaciones con las que se fabrican las compuertas lógicas contenidas en ellos: las familias TTL y CMOS, por su parte cada una de ellas define un conjunto de circuitos integrados digitales que poseen funciones específicas, dichos conjuntos son los circuitos integrados de la serie 74, que pueden ser TTL o CMOS y los circuitos integrados de la serie 40, que sólo existen en TTL. El uso de los circuitos de dichas familias difiere básicamente en dos aspectos: la configuración de pines de dos circuitos integrados que cumplan la misma función y a si la lógica asociada a las entradas y salidas es positiva o negativa. Si bien en los circuitos digitales combinacionales se prefiere siempre emplear dispositivos de una misma familia lógica, a veces esto no es posible se requiere interconectar dispositivos TTL con CMOS o viceversa, para ello, se requiere de interfaces de conexión como resistencias de pull-up o compuertas híbridas. El poder conectar diferentes familias de dispositivos lógicos permite que diferentes dispositivos digitales de diferentes fabricantes y funcionalidades puedan interactuar y dar origen a sistemas digitales más complejos y obtener más aplicaciones tecnológicas que simplifiquen ciertas tareas a nivel doméstico e industrial.

Page 34: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

34

Bibliografía Morris Mano, M. (2003). Diseño digital. Pearson Educación.

Malvino, A. P. (1999). Principios de electrónica. Madrid: McGraw-Hill.

Petrucci, Herring, & Harwood. (1995). Curso de Electrónica básica CEKIT. CEKIT.

Rashid, M. (1985). Circuitos Microelectrónicos. THOMSON EDITORES.

Tocci, Ronald J. (2012). Sistemas digitales principios y aplicaciones. Prentice Hall.

Page 35: ELECTRÓNICA ANALÓGICA Y DIGITALcursos.iplacex.cl/CED/EAD3008/S6/ME_6.pdf2 Introducción En una primera parte de esta unidad de electrónica digital se ha expuesto como diseñar sistemas

www.iplacex.cl

35