Grupo45_Trabajo1

download Grupo45_Trabajo1

of 16

Transcript of Grupo45_Trabajo1

  • TRABAJO COLABORATIVO 1

    OTTO RUEFLI BARRERACod. 111538282

    YOSETH CORREAL LOZANOCod. 1121816652

    SISTEMAS DIGITALES SECUENCIALES 90178Grupo 45

    ING. NANCY AMPARO GUACA

    UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNADCEAD Yopal

    INGENIERA ELECTRNICAOCTUBRE 2013

  • INTRODUCCION.

    En este documento encontraremos las aplicaciones de los temas estudiados en launidad N 1 como son los cerrojos y flip flop de los circuitos combinacionales ycircuitos secuenciales, veremos paso a paso el desarrollo de la actividad deacuerdo a lo solicitado en la gua con el fin de construir un pequeo vehculo robotque al encontrar un obstculo, retroceda y cambie de direccin, el diseo yposterior desarrollo del robot nos ayuda a comprender el funciona miento bsicode los sistemas digitales y su amplio mundo.

    En este primer trabajo colaborativo se ponen en prctica los temas vistos y serealizara un diseo electrnico desarrollando un taller en simulador Isis 7 ProteusProfesional, dispositivos electrnicos tales como flip-flop, temporizadores, etc. Enel desarrollo del trabajo se mostrarn algunos aspectos importantes como eldiagrama de bloques, descripcin de la forma en que los flip-flop pueden resolverel problema planteado.

    La implementacin del diseo ser ilustrada mediante simulacin en el softwareProteus. Con el presente informe se evidencia la asimilacin de las temticasvistas en Sistemas Digitales Secuenciales, se logr disear y poner enfuncionamiento un carro robot; utilizando como estrategia pedaggica el trabajo enequipo.

  • OBJETIVOS.

    Conocer la teora, el funcionamiento y las aplicaciones del diseo y manejode datos por medio de cerrojos y el almacenamiento por flip flops.

    Dar solucin al problema planteado desarrollando las diferentes etapas deldiseo de sistemas secuenciales digitales.

    Conocer las diferentes herramientas del diseo que sirven comocomponentes bsicos para el desarrollo de dispositivos electrnicosdigitales.

    Fortalecer y afianzar los conocimientos de circuitos secuencialesestudiados en la primera unidad.

    Utilizar el Circuito Integrado 555 y disear un temporizador en modomonoestable, para controlar el tiempo de reversa del robot.

    Construir el diagrama de bloques en VHDL que debera seguir un programapara cumplir con las funciones del circuito diseado.

  • 1. PROBLEMA A RESOLVER

    Disear un pequeo vehculo impulsado por dos motores DC, uno en cada ruedatrasera del vehculo los cuales permitirn el movimiento del vehculo, contar condos sensores o micro-switches en su parte frontal los cuales sern accionados enel momento que el carro choque o impacte con un obstculo haciendo que el carroinvierta el sentido de giro de uno de sus motores y de marcha atrs por espacio de5 segundos girando en un sentido diferente y reanude su marcha hacia adelante,no importa si el mismo Switche es accionado ms de una vez o cualquiera quesea accionado el carro debe dar reversa y cambiar de giro.

    2. LISTA DE MATERIALES

    1 Software simulador Proteus1 Protoboard2 Motores DC de 6 a 9 voltios2 Condensadores (0.01 F, 20 F)6 Resistencias (2 de 100 , 2 de 1 K, una de 155 K y una de 10 K)2 Micro switches1 Integrado 74LS732 Integrados 74LS081 Integrado 74LS281 Temporizado 5552 Inversores 74061 Controlador de potencia L293D1 Led color verde1 Led color rojo

  • 3. DIAGRAMA DE BLOQUES

    SWITCHE

    FUENTE DEALIMENTACION

    TEMPORIZADO555

    FLIP FLOP

    MOTOR 1

    L293D

    SWITCHE MOTOR 2

  • DIAGRAMA DE FLUJO

    4. VARIABLES LGICAS DE ENTRADA Y DE SALIDA DEL SISTEMA

    Las variables que tenemos son las seales dadas por los sensores o microswitches, el carro en estado normal tendr marcha hacia adelante, los sensoresson los encargados de detectar los obstculos enviando seales a los circuitosintegrados para lograr evadirlos.

    Las seales de entrada a cargo de los micro-switches son las variables lgicas deentrada y la seal de salida ser la que da el controlador para el movimiento de losmotores que a su vez generan el desplazamiento del carrito chocn.

    INICIO

    MOTORES ENMOVIMIENTO

    MICROSWITCHES

    SENSORDERECHO

    SENSORIZQUIERDO

    RETROSESO CONTADOR 5SEGUNDOS

    MOTORES ENMOVIMIENTO

  • Las seales de entrada y de salida son continuas y tienen un carcter binario, esdecir que el 1 lgico indica 9 voltios DC y 0 lgico indican cero voltios DC.

    Los micro-switches en su estado normal tienen salida 0 lgico, es decir cuandono hay obstculos y se mueve hacia adelante. Cuando alguno es accionado poralgn obstculo, el sensor pasa a 1 lgico

    La seal de salida como lo mencionamos anteriormente ser en el movimiento delos motores de la siguiente manera:

    Accionamiento de micro-Switche por obstculo:

    1. El carro para y retrocede invirtiendo el giro de uno de sus motores por espaciode 5 segundos.

    2. El carro cambia su direccin hacia la derecha o hacia la izquierdamanteniendo uno de sus motores parado mientras el otro retrocede.

    3. El carro da marcha hacia adelante nuevamente despus de pasar los 5segundos accionando sus 2 motores.

    Si no hay accionamiento de micro-Switche, el carro se desplaza hacia adelante.

  • 5. TABLA DE VERDAD QUE MUESTRA LA RELACIN ENTRE LASVARIABLES DE ENTRADA Y SALIDA DEL SISTEMA

    La relacin de entrada y salida se muestra en la siguiente tabla, en donde el 1representa los interruptores y Motores conectado a 9 voltios y 0 en 0 voltios.

    Entradas Micro-Switches (ms)

    ms-1 ms-2 Salida

    0 0 Adelante

    1 0 Atrs-Izquierda

    0 1 Atrs-Derecha

    1 1 Atrs-Izquierda / Derecha

    A la salida podremos ver los movimientos de los motores:

    Motor 1 Motor 2 Salida

    0 0 Parado

    1 0 Izquierda

    0 1 Derecha

    1 1 Adelante

  • 6. ESTRUCTURA EN VHDL

    library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

    entity Mealy isPort (

    inicio: in std_logic;ck: in std_logic;E0: in std_logic;S0: out std_logic;S1: out std_logic;S2: out std_logic);

    end MEaly;

    architecture behavioral of Mealy is

    type nombres_estados is (Q0, Q1);signal estado: nombres_estados;signal entrada_aux: std_logic_vector (0 downto 0);

    beginentrada_aux

  • end process;

    process(estado, entrada_aux)begincase estado is

    when Q0 =>case entrada_aux is

    when '0' =>S0

  • 7. RELACIN ENTRE LAS VARIABLES DE ENTRADA Y SALIDA DELSISTEMA

    La relacin de entrada y salida se muestra en la siguiente tabla, en donde el 1representa los interruptores y Motores conectado a 12 voltios y 0 a tierra.

    VARIABLES DE ENTRADA VARIABLES DE SALIDA RESULTADOSwitche

    1Switche

    2Cto

    MonoestableCto

    MonoestableMotor

    1Motor

    2Marcha

    1 1 1 0 01

    01

    Adelante

    0 1 0 1 10

    10

    Atrs

    1 0 0 1 10

    10

    Atrs

    Tabla de verdad del Flip Flop D (Circuito Secuencial)

    D CK Q Q COMENTARIO

    0 1 1 1 Reajustar1 1 0 0 Establecer1 0 Q prev Q prev Sin cambio0 1 Q prev Q prev Sin cambio

    A la salida podremos mirar que el carro realiza los movimientos que se ven en lasiguiente tabla:

    MOTOR 1 MOTOR 2 COMENTARIO01

    01

    Adelante

    10

    10

    Atrs

    MOTOR 1 MOTOR 2 COMENTARIO01

    10

    Derecha

    10

    01

    Izquierda

  • SensoresEntrada L293D S1 S2

    C Izq C Der S1 S2 J1 K1 J2 K20 0 1 0 x 0 0 x0 1 1 1 x 0 1 x1 0 0 1 x 1 x 01 1 0 1 0 x x 0

    S1 S2 Motor0 0 Parado1 0 Adelante0 1 Atrs1 1 Parado

    J1 K1C.DerC.Izq

    0 1 C.DerC.Izq 0 1

    0 X x 0 0 01 X 0 1 1 x

    J1=X K1=C1

  • 8. MONTAJE DEL CIRCUITO EN SIMULADOR PROTEUS.

    VIDEO SIMULACIN

    http://youtu.be/mQqbsSN8Di0

    9. DESCRIBCIN DEL FUNCIONAMIENTO DEL SISTEMA

    En condiciones normales de operacin el controlador o puente en H L293D tienesus entradas en 0 por lo que los dos motores estn en funcionamiento haciendomover el carro hacia adelante, en estos momentos tenemos un led encendido quenos indica que el carrito va hacia adelante, cuando los micro-switches detectan unobstculo se activan pasando a 1 y enviando una seal en paralelo hacia el FlipFlop 74LS73 el cual lo trabajamos como tipo T, este integrado lo usamos comomemoria y nos conmuta el motor, de igual forma enva seal al controlador 555 enmodo monoestable el cual calibramos en 5 segundos por medio de una resistenciade 155 K junto con un condensador de 20 F para que el carro vaya en reversa ycambiando de direccin; estos dos dispositivos alimentan con su salidas en 1 auno de los dos integrados 74LS08 que a su vez dan seal al controlador o puente

  • en H L293D que es el encargado de controlar directamente el movimiento de losdos motores y el sentido de giro de los mismos.

    El puente H nos controla el movimiento hacia delante de los dos motores, pararlos,invertir el giro de un motor para que el carro retroceda y gire a la derecha o a laizquierda.

    Para el clculo del retardo empleamos la siguiente frmula:= 1.1= 1.1Tiempo de retardo para cambio de direccin de 5 seg, le damos un valor de 20Fpara el condensador y resolvemos la siguiente ecuacin:= 5 5 = 0,000020 1.1= 20 = . = 227272.72 227 = PUENTE H:

    Un Puente H es un circuito electrnico que permite a un motor elctrico DC giraren ambos sentidos, avance y retroceso. Son ampliamente usados en robtica ycomo convertidores de potencia. Los puentes H estn disponibles como circuitosintegrados, pero tambin pueden construirse a partir de componentes discretos,mediante transistores. Usaremos el integrado L293D para manejar los pequeosmotores tienen capacidad de controlar corriente hasta 600 mA en cada circuito yuna tensin entre 4,5 V a 36 V, se pueden usar de manera independiente paracontrolar un nico sentido de giro. El integrado permite formar, entonces,dos puentes H completos, con los que se puede realizar el manejo de dosmotores. En este caso el manejo ser bidireccional, con frenado rpido y conposibilidad de implementar fcilmente el control de velocidad.

  • CONCLUSIONES

    Con la elaboracin del presente trabajo se ha dejado en claro la estructura,objetivos y finalidad del curso de Sistemas Digitales Secuenciales. Ademsde analizar su importancia en el campo profesional y laboral de la Ingenierade Electrnica, el cual son elementos importantes en ellos.

    Los circuitos digitales que hasta hora se han considerado, han sidocombinacionales, esto quiere decir que las salidas en cualquier momentodependen de cualquier momento de las entradas presentes en ese tiempo.Aunque cualquier sistema digital es susceptible de tener circuitoscombinacionales, la mayora de los sistemas que se encuentran en laprctica tambin incluyen elementos de memoria, los cuales requieren queel sistema se describa en trminos de lgica secuencial.

  • BIBLIOGRAFIA

    Georffrey Acevedo Gonzlez. (2008) Modulo del curso acadmico SistemasDigitales Secuenciales. Universidad Nacional Abierta y a Distancia UNAD.Escuela de Ciencias Bsicas, Tecnologa e Ingeniera (ECBTI), disponible enhttp://www.unad.learnmate.co/mod/resource/view.php?inpopup=true&id=8419

    Eduardo J. Carletti, Manejo de potencia para motores con el integrado L293D.Disponible en http://robots-argentina.com.ar/MotorCC_L293D.htm

    Tabla de verdad y diagrama temporal del Flip-Flop tipo D, El flip-flop tipo D.Descripcin. Smbolo. Disponible enhttp://www.unicrom.com/dig_FF_D_disparo_tabla_verdad_diagrama_temporal.asp

    Clculos de resistencias y condensadores. Disponible enhttp://www.huarpe.com/electronica2/capitulo/capitulo08/html/555mono.html